Conference

2024

  • [ASPLOS24]Sonyun Qu, Shixin Zhao, Bing Li, Xuyi Cai, Lei Zhang, Ying Wang (通讯), "CIM-MLC: A Multi-level Compilation Stack for Computing-In-Memory Accelerators," in 29th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'24), 2024. (CCF-A)
  • [ASPDAC24] Fuping Li, Ying Wang (通讯), Yujie Wang, Mengdi Wang, Yinhe Han, Huawei Li, Xiaowei Li, "Chipletizer: Repartitioning SoCs for Cost-Effective Chiplet Integration", In Proceedings of the 29th Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, 2024.(CCF-C)
  • [ASPDAC24] Lei Dai, Shengwen Liang, Ying Wang , Huawei Li, Xiaowei Li, "APoX: Accelerate Graph-Based Deep Point Cloud Analysis via Adaptive Graph Construction", In Proceedings of the 29th Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, 2024.(CCF-C)
  • [DATE24] Yintao He, Huawei Li, Xiaowei Li, Ying Wang (通讯), "Bit-Trimmer: Ineffectual Bit-operation Removal for CIM Architecture," IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2024.(CCF-B)
  • [DATE24] Yibo Du, Shengwen Liang, Ying Wang (通讯), Huawei Li, Xiaowei Li and Yinhe Han, "GPACE: An Energy-Efficient PQ-based GCN Accelerator with Redundancy Reduction," IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2024.(CCF-B)
  • [DATE24] Shengwen Liang, Ziming Yuan, Ying Wang, Cheng Liu, Huawei Li and Xiaowei Li, "HyQA: Hybrid Near-Data Processing Platform for Embedding based Question Answering System," IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2024.(CCF-B)

2023

  • [ICCD23] Yibo Du, Shengwen Liang, Huawei Li, Xiaowei Li, Yinhe Han and Ying Wang, "PANG: A Pattern-Aware GCN Accelerator for Universal Graphs," in the 41th IEEE International Conference on Computer Design(ICCD), October, 2023.(CCF-B)

  • [ICCAD23] Erjing Luo, Haitong Huang, Cheng Liu*, Guoyu Li, Bing Yang, Ying Wang, Huawei Li, Xiaowei Li, “DeepBurning-MixQ: An Open Source Mixed-Precision Neural Network Accelerator Design Framework for FPGAs,” in IEEE/ACM International Conference On Computer Aided Design, 2023.(CCF-B)

  • [ICCAD23] Yilun Zhao, Yu Chen, He Li, Ying Wang (通讯), Kaiyan Chang, Bingmeng Wang, Bing Li and Yinhe Han, “Full State Quantum Circuit Simulation Beyond Memory Limit,” in IEEE/ACM International Conference On Computer Aided Design, 2023.(CCF-B)

  • [DAC23] Cangyuan Li, Ying Wang (通讯), Huawei Li and Yinhe Han, “APPEND: Rethinking ASIP Synthesis in the Era of AI,” in IEEE/ACM Proceedings of Design, Automation Conference, 2023.(CCF-A)

  • [DAC23] Mingjia Fan, Xiaotian Tian, Yintao He, Junxian Li, Yiru Duan, Xiaozhe Hu, Ying Wang, Zhou Jin and Weifeng Liu, “AmgR: Algebraic Multigrid Accelerated on ReRAM,” in IEEE/ACM Proceedings of Design, Automation Conference, 2023.(CCF-A)

  • [ASPLOS23]Z. Zhang, Y. Ou, Y. Liu, C. Wang, Y. Zhou, X. Wang, Y. Zhang, Y. Ouyang, J. Shan, Y. Wang, J. Xue, H. Cui, X. Feng, "OCCAMY-Elastically Sharing an On-chip Vector Co-processor Across Multiple Cores," in 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'23), 2023. (CCF-A)

  • [DATE23] Chengsi Gao, Ying Wang (通讯), Cheng Liu, Mengdi Wang, Weiwei Chen, Yinhe Han and Lei Zhang, "Layer-Puzzle: Allocating and Scheduling Multi-task on Multi-core NPUs by Using Layer Heterogeneity," IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2023.(CCF-B)

  • [DATE23] Shixin Zhao, Songyun Qu, Ying Wang (通讯), Yinhe Han, "ENASA: Towards Edge Neural Architecture Search based on CIM acceleration," IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2023.(CCF-B)

  • [HPCA23] Ying Xu, Long Cheng, Xuyi Cai, Xiaohan Ma, Lei Zhang, Ying Wang (通讯), "Towards Efficient Supernet Training Using Path Parallelism," in Proceedings of 29th IEEE International Symposium on High-Performance Computer Architecture (HPCA-29), 2023. (CCF-A)

  • [HPCA23] Haoran Wang, Haobo Xu, Ying Wang, Yinhe Han, "CTA: Hardware-Software Co-design for Compressed Token Attention Mechanism," in Proceedings of 29th IEEE International Symposium on High-Performance Computer Architecture (HPCA-29), 2023. (CCF-A)

2022

  • [iSES22] Bing Li, Hao Lv, Ying Wang, Yiran Chen, "Security Threat to the Robustness of RRAM-Based Neuromorphic Computing System", at IEEE iSES 2022.

  • [ICCD20] Lei Dai, Ying Wang , Cheng Liu, Shengwen Liu, Huawei Li, Xiaowei Li, "Reexamining the CGRA Memory Sub-system for Higher Memory Utilization and Performance," in the 40th IEEE International Conference on Computer Design(ICCD), October, 2022.(CCF-B)

  • [MICRO22] Xuyi Cai, Ying Wang (通讯), Xiaohan Ma, Yinhe Han, Lei Zhang, "DeepBurning-SP: Generating DNN Accelerators of Segment-Grained Pipeline Architecture," to appear in Proceedings of IEEE/ACM International Symposium on Microarchitecture, 2022. (CCF-A)

  • [CODES+ISSS22] Weiwei Chen, Ying Wang (通讯), Ying Xu, Chengsi Gao, Yinhe Han and Lei Zhang, "Amphis: Managing Reconfigurable Processor Architectures with Generative Adversarial Learning," in Proceedings of CODES+ISSS: International Conference on Hardware/Software Codesign and System Synthesis, 2022.

  • [ISLPED22] Cheng Chu, Dawen Xu, Ying Wang, Fan Chen,"CANOPY: A CNFET-based Process Variation Aware Systolic DNN Accelerator," in Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2022.(CCF-B)

  • [ICCAD22] Fuping Li, Ying Wang (通讯), Yuanqing Cheng, Yujie Wang, Yinhe Han, Huawei Li, Xiaowei Li, “GIA: A Reusable General Interposer Architecture for Agile Chiplet Integration,” in IEEE/ACM International Conference On Computer Aided Design, 2022.(CCF-B)

  • [DATE22] Fuping Li, Ying Wang (通讯), Cheng Liu, Huawei Li and Xiaowei Li, "NoCeption: A Fast PPA Prediction Framework for Network-on-Chips Using Graph Neural Network," IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2021.(CCF-B)

  • [GLSVLSI22] Samuel J. Engers, Cheng Chu, Dawen Xu, Ying Wang, Fan Chen, “MOCCA: A Process Variation Tolerant Systolic DNN Accelerator using CNFETs in Monolithic 3D,” in IEEE GLSVLSI, 2022.

  • [DAC22] Yintao He, Songyun Qu, Bing Li, Huawei Li, Xiaowei Li, Ying Wang (通讯), “InfoX: An Energy-Efficient ReRAM Accelerator Design with Information-Lossless Low-Bit ADCs,” in IEEE/ACM Proceedings of Design, Automation Conference, 2022.(CCF-A)

  • [DAC22] Shengwen Liang, Ying Wang (通讯), Ziming Yuan, Ying Wang, Cheng Liu, Huawei Li and Xiaowei Li, “VStore: In-Storage Graph Based Vector Search Accelerator,” in IEEE/ACM Proceedings of Design, Automation Conference, 2022.(CCF-A)

  • [DAC22] Yuquan He, Songyun Qu, Gangliang Lin, Cheng Liu, Lei Zhang and Ying Wang (通讯), “Processing-in-SRAM Acceleration for Ultra-Low Power Visual 3D Perception,” in IEEE/ACM Proceedings of Design, Automation Conference, 2022.(CCF-A)

  • [DAC22] Xinghua Xue, Haitong Huang, Cheng Liu, Tao Luo, Lei Zhang and Ying Wang (通讯), “Winograd Convolution: A Perspective from Fault Tolerance,” in IEEE/ACM Proceedings of Design, Automation Conference, 2022.(CCF-A)

2021

  • [ISCA21] Xiaohan Ma, Chang Si, Ying Wang (通讯), Cheng Liu, Lei Zhang, “NASA: Accelerating Neural Network Design with On-Chip NAS,” in 48th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2021.(CCF-A)

  • [LCTES21] Weiwei Chen, Ying Wang (通讯), Cheng Liu, Lei Zhang, “CHaNAS: Coordinated Search for Network Architecture and Scheduling Policy,” in 22nd ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), 2021.(CCF-B)

  • [LCTES21] Xuyi Cai, Ying Wang (通讯), Lei Zhang, “Optimus: Towards Optimal Layer-Fusion on DeepLearning Processors,” in 22nd ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), 2021.(CCF-B)

  • [ICRA21] Yuquan He, Ying Wang (通讯), Cheng Liu, Lei Zhang, “PicoVO: A Lightweight RGB-D Visual Odometry Targeting Resource-Constrained IoT Devices,” in 2021 IEEE International Conference on Robotics and Automation, 2021.(CCF-B)

  • [Usenix ATC] Cangyuan Li, Ying Wang(通讯), Cheng Liu, Shengwen Liang, Huawei Li, Xiaowei Li. “GLIST: Towards In-Storage Graph Learning,” in USENIX Annual Technical Conference (ATC), 2021. (CCF-A)

  • [VTS21] Huawei Li, Xiaowei Li, Yu Huang, Ying Wang, Gary Guo,“Special Session - Test for AI Chips: from DFT to On-line Testing,” 2021 IEEE 38th VLSI Test Symposium (VTS), San Diego, CA, USA, April 2021.(CCF-C)

  • [GLSVLSI21] Chengsi Gao, Bing Li, Ying Wang (通讯), Lei Zhang, “Tenet: A Neural Network Model Extraction Attack in Multi-core Architecture,” in IEEE GLSVLSI, 2021.

  • [GLSVLSI21] Mengdi Wang, Bing Li, Ying Wang (通讯), Lei Zhang, “MT-DLA: An Efficient Multi-Task Deep Learning Accelerator Design,” in IEEE GLSVLSI, 2021.

  • [GLSVLSI21] Cheng Chu, Dawen Xu, Ying Wang, Fan Chen, “RECOIN: A Low-Power Processing-in-ReRAM Architecture for Deformable Convolution,” in IEEE GLSVLSI, 2021.

  • [DAC21] Sonyun Qu, Bing Li, Ying Wang (通讯), Hao Lv, Lei Zhang, “SBP: Structured Bit-Pruning for RRAM-based NN Accelerator,” in IEEE/ACM Proceedings of Design, Automation Conference, 2021.(CCF-A)

  • [DAC21] Mengdi Wang,Ying Wang (通讯),Lei Zhang, “Network-on-Interposer Design for Agile NPU Customization,” in IEEE/ACM Proceedings of Design, Automation Conference, 2021.(CCF-A)

  • [DAC21] Yongchen Wang, Ying Wang (通讯), Yinhe Han, Huawei Li, Xiaowei Li, “An Activity Analysis Architecture From Compressed Video Streams,” in IEEE/ACM Proceedings of Design, Automation Conference, 2021.(CCF-A)

  • [DAC21] Yintao He, Ying Wang (通讯), Yinhe Han, Huawei Li, Xiaowei Li, “TARe: Task-Adaptive in-situ ReRAM Computing for Graph Learning,” in IEEE/ACM Proceedings of Design, Automation Conference, 2021.(CCF-A)

  • [DAC21] Lei He, Cheng Liu, Ying Wang (通讯), Huawei Li, Xiaowei Li, “GCiM: A Near-Data Processing Accelerator for Graph Construction,” in IEEE/ACM Proceedings of Design, Automation Conference, 2021.(CCF-A)

  • [DAC21] Chengsi Gao, Ying Wang (通讯),Yinhe Han, Lei Zhang, “An Intelligent Video Processing Architecture for Edge-cloud Video Streaming,” in IEEE/ACM Proceedings of Design, Automation Conference, 2021.(CCF-A)

  • [ASPDAC21] Hao Lv, Bing Li, Ying Wang (通讯), Cheng Liu, Lei Zhang, "VADER: Leveraging the Natural Variation of Hardware to Enhance Adversarial Attack", In Proceedings of the 25th Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, 2020.(CCF-C)

2020

  • [ICCAD20] Shengwen Liang, Ying Wang (通讯), Cheng Liu, Huawei Li, Xiaowei Li, “DeepBurning-GL:An Automated Framework for Generating Graph Neural Network Accelerators,” in IEEE/ACM International Conference On Computer Aided Design, 2020.(CCF-B)
  • [ICCAD20] Bin Li, Ying Wang (通讯), Yiran Chen, “HitM: High-Throughput ReRAM-based PIM for Multi-Modal Neural Networks,” in IEEE/ACM International Conference On Computer Aided Design, 2020.(CCF-B)
  • [ICCAD20] Ying Wang (通讯), Mengdi Wang, Huawei Li, Xiaowei Li, “An Energy-Efficient Many-Core Accelerator Design for On-Chip Deep Reinforcement Learning,” in IEEE/ACM International Conference On Computer Aided Design, 2020.(CCF-B)
  • [ICCD20] Dawen Xu, Cheng Chu, Cheng Liu, Qianlong Wang, Ying Wang , Lei Zhang, Huaguo Liang and Kwang-Ting Tim Cheng, A Hybrid Computing Architecture for Fault-tolerant Deep Learning Accelerators, The 38th IEEE International Conference on Computer Design(ICCD), October, 2020.(CCF-B)
  • [DAC20] Sonyun Qu, Ying Wang (通讯), Bing Li, Hao Lv, Lei Zhang, “RaQu: An automatic high-utilization CNN quantization and mapping framework for general-purpose RRAM Accelerator,” in IEEE/ACM Proceedings of Design, Automation Conference, 2020.(CCF-A)
  • [DAC20] Yongchen Wang, Ying Wang (通讯), Yinhe Han, Huawei Li, Xiaowei Li, “An Efficient Deep Learning Accelerator for Compressed Video Analysis,” in IEEE/ACM Proceedings of Design, Automation Conference, 2020.(CCF-A)
  • [DAC20] Yintao He, Ying Wang (通讯), Huawei Li, Xiaowei Li, “Towards State-Aware Computation in ReRAM Neural Networks,” in IEEE/ACM Proceedings of Design, Automation Conference, 2020.(CCF-A)
  • [DAC20] Xiandong Zhao, Ying Wang (通讯), Cheng Liu, Cong Shi, Lei Zhang, “BitPruner: Network Pruning for Bit-Serial Accelerators,” in IEEE/ACM Proceedings of Design, Automation Conference, 2020.(CCF-A)
  • [VTS20] Rajendra Bishnoi, Lizhou Wu, Moritz Fieback, Christopher Münch, Sarath Mohanachandran Nair, Mehdi Tahoori, Ying Wang, Huawei Li, Said Hamdioui, “Special Session – Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis,” 2020 IEEE 38th VLSI Test Symposium (VTS), San Diego, CA, USA, April 2020.(CCF-C)
  • [GLSVLSI20] Dawen Xu, Cheng Chu, Cheng Liu, Ying Wang, Xianzhong Zhou, Lei Zhang, Huawei Li, Huaguo Liang, “Multi-task Scheduling for PIM-based Heterogeneous Computing System,” in IEEE GLSVLSI, 2020.
  • [ICLR20] Xiandong Zhao, Ying Wang(通讯),Xuyi Cai, Cheng Liu, Lei Zhang, “Linear Symmetric Quantization of Neural Networks for Low-precision Integer Hardware,” 5th International Conference on Learning Representations (ICLR)
  • [DATE20] Weiwei Chen, Ying Wang(通讯),Cheng Liu, Lei Zhang, “You Only Search Once: An Automated Framework for Fast DNN/Accelerator Co-design,” IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2020.(CCF-B)
  • [DATE20] Dawen Xu, Kexin Chu, Cheng Liu, Ying Wang(通讯), Huawei Li, “CNT-Cache: an Energy-efficient Carbon Nanotube Cache with Adaptive Encoding,” IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2020.(CCF-B)
  • [DATE20] Weiwei Chen, Ying Wang(通讯),Cheng Liu, Lei Zhang, “Towards best-effort approximation: Applying NAS to Approximate Computing,” IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2020.(CCF-B)
  • [ASPDAC20] Bosheng Liu, Xiaoming Li, Ying Wang, Yinhe Han, Jiajun Li, Haobo Xu, Xiaowei Li, “Search-free Accelerator for Sparse Convolutional Neural Networks,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), 2020.(CCF-C)
  • [FPL20] Shengwen Liang, Ying Wang(通讯), Cheng Liu, Huawei Li, Xiaowei Li. “InS-DLA: A Deep Learning Accelerator for Near-Data Processing,” in IEEE Field-Programmable Logic and Applications (FPL), 2019. (CCF-C)

2019

  • [FPT19] Cheng Liu, Xinyu Chen, Bingsheng He, Ying Wang, Liao Xiaofei and Lei Zhang.OBFS: OpenCL Based BFS Optimization on Software Programmable FPGAs,” International Conference on Field-Programmable Technology, 2019.
  • [ICCD19] Wen Li, Ying Wang(通讯), Huawei Li, Xiaowei Li. “RRAMedy: Protecting ReRAM-based Neural Network from Permanent and Soft Faults During Its Lifetime,” in IEEE International Conference on Computer Design (ICCD), 2019. (CCF-B) best paper award, 1/95
  • [Usenix ATC] Shengwen Liang, Ying Wang(通讯), Youyou Lu, Zhe Yang, Huawei Li, Xiaowei Li. “Cognitive SSD: A Deep Learning Engine for In-Storage Data Retrieval,” in USENIX Annual Technical Conference (ATC), 2019. (CCF-A)
  • [ICCAD19] Haobo Xu Ying Wang (通讯), Yujie Wang, Yinhe Han, “ACG-Engine: An Inference Accelerator for Content Generative Neural Networks,” in IEEE/ACM International Conference On Computer Aided Design, 2019.(CCF-B)
  • [ICCAD19] Yintao He Ying Wang (通讯), Huawei Li, Xiaowei Li “An Agile Precision-Tunable CNN Accelerator based on ReRAM,” in IEEE/ACM International Conference On Computer Aided Design, 2019.(CCF-B)
  • [ASAP19]Dawen Xu, Kouzi Xing, Cheng Liu, Ying Wang(通讯), Yulin Dai, Long Cheng, Huawei Li, Lei Zhang, “Resilient neural network training for accelerators with computing errors,” in IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2019. (CCF-C)
  • [DAC19] Ying Wang, Shengwen Liang, Huawei Li, Xiaowei Li, “A None-Sparse Deep Learning Accelerator that Explores the Computation Redundancy in Neural Networks,” in IEEE/ACM Proceedings of Design, Automation Conference, 2019.(CCF-A)
  • [DAC19] Yongchen Wang, Ying Wang(通讯), Huawei Li, Cong Shi, Xiaowei Li, “Systolic Cube: A 3D-CNN Accelerator Architecture for Low Power Video Recognition,” in IEEE/ACM Proceedings of Design, Automation Conference, 2019.(CCF-A )
  • [DATE19] Kaiwei Zou,Ying Wang(通讯), Huawei Li, Xiaowei Li, “Learn-to-Scale: Parallelizing Deep Learning Inference on Chip Multiprocessor Architecture,” IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2019.(CCF-B)
  • [VTS19] Wen Li, Ying Wang(通讯), Huawei Li, Xiaowei Li, “Leveraging Memory PUFs and PIM-based encryption to secure edge deep learning systems,” in IEEE VLSI Test Symposium 2019.(CCF-B)
  • [ASPDAC19] Sheng Xu, Xiaoming Li, Ying Wang, Yinhe Han, Xiaowei Li, “CuckooPIM: An Efficient and Less-blocking Coherence Mechanism for Processing-in-Memory Systems,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), 2019.(CCF-C)
  • [ASPDAC19] Jiajun Li, Ying Wang(通讯), Yinhe Han, Xiaowei Li, “Simulate-the-hardware: Training Accurate Binarized Neural Networks for Low-Precision Neural Accelerators,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), 2019.(CCF-C)
  • [ASPDAC19] Bosheng Liu, Xiaoming Li, Ying Wang, Yinhe Han, Jiajun Li, Haobo Xu, Xiaowei Li, “Addressing the Issue of Processing Element Under-Utilization in General-Purpose Systolic Deep Learning Accelerators,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), 2019.(CCF-C)
  • [ASPDAC19] Dawen Xu, Li Li, Ying Wang(通讯), Huawei Li, Xiaowei Li, “Exploring emerging CNFET for Efficient Last Level Cache Design,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), 2019.(CCF-C)
  • [ASPDAC19] Wen Li, Ying Wang(通讯), Huawei Li, Xiaowei Li, “P3M: A PIM-based Neural Network Model Protection Scheme for Deep Learning Accelerator,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), 2019.(CCF-C)

2018

  • [ITC18] Ying Wang, Wen Li, Huawei Li, Xiaowei Li,, “Lightweight Timing Channel Protection for Shared DRAM Controller,” in IEEE International Test Conference, 2018.(CCF-B)
  • [ICCAD18] Dawen Xu, Kaijie Tu, Ying Wang (通讯), Cheng Liu, Bingsheng He, Huawei Li, “FCN-Engine: Accelerating Deconvolutional Layers in Classic CNN Processors,” in IEEE/ACM International Conference On Computer Aided Design, 2018.(CCF-B)
  • [DATE18] Ying Wang, Zhenyu Quan, Jiajun Li, Yinhe Han, Huawei Li, Xiaowei Li, “A Retrospective Evaluation of Energy-Efficient Object Detection Solutions for Embedded Devices,” IEEE/ACM Proceedings of Design, Automation and Test in Europe conference (DATE), 2018.(CCF-B)
  • [DAC18] Shiqi Lian, Yinhe Han, Xiaoming Chen,Ying Wang Ninghui Sun, Xiaowei Li, “Dadu-P: A Scalable Accelerator for Robot Motion Planning in a Dynamic Environment,” in IEEE/ACM Proceedings of Design, Automation Conference (DAC), 2018.(CCF-A)
  • [ASPDAC18] Kaiwei Zou, Ying Wang, Wen Li, Huawei Li, Xiaowei Li, “XoRiM: Exploiting Realistic 3D-stacked DRAM for Energy-Efficient Processing In-memory,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), Jeju, 2018.(CCF-C)
  • [ASPDAC18] Sheng Xu, Ying Wang, Yinhe Han, Xiaowei Li, “PIMCH: Cooperative Memory Prefetching in Processing-In-MemoryArchitecture,” in IEEE/ACM proceedings of Asia and South Pacific Design Automation Conference (ASPDAC), Jeju, 2018. (CCF-C)
  • [ITC-Asia18] Ying Wang, Wen Li, Huawei Li, Xiaowei Li, “Leveraging DRAM Refresh to Protect the Memory Timing Channel of Cloud Chip Multi-Processors,” in IEEE ITC-Asia, 2018. best paper award
  • [ISCAS18] Chen Liu, Yuanqing Cheng, Ying Wang (通信), Youguang Zhang, Weisheng Zhao, “NEAR: A Novel Energy Aware Replacement Policy for STT-MRAM LLCs,” In IEEE/ACM Proceedings of ISCAS 2018.(CCF-C)

2017

  • [RV17] Ting Hu, Ying Wang, Lei Zhang, “A Tightly-coupled Light-Weight Neural Network Processing Units with RISC-V Core”, The 2nd RISC-V workshop, San Jose.

  • [ICCAD17] Dandan Li, Shuzhen Yao, Senzhang Wang, Ying Wang, “Cross-program Design Space Exploration by Ensemble Transfer Learning,” in IEEE/ACM International Conference On Computer Aided Design, 2017.(CCF-B)

  • [ICCAD17] Bi Wu, Yuanqing Cheng, Ying Wang (通讯), Weisheng Zhao, “Thermosiphon: A Thermal Aware NUCA Architecture for Write Energy Reduction of STT-MRAM Last Level Cache,” in IEEE/ACM International Conference On Computer Aided Design, 2017.(CCF-B)

  • [ICPP17] Long Cheng, Ying Wang , Yulong Pei, and Dick Epema, “A Coflow-based Co-optimization Framework for High-performance Data Analytics,” in IEEE/ACM Proceedings of 46th International Conference on Parallel Processing, 2017.(CCF-B)

  • [ATS17] Said Hamdioui, Peyman Pouyan, Huawei Li, Ying Wang , Arijit Raychowdhur; Insik Yoon, “Test and Reliability of Emerging Non-volatile Memories,” 2017 IEEE 26th Asian Test Symposium (ATS), invited.(CCF-C)

  • [DAC17] Ying Wang , Huawei Li, Dawen Xu, Xiaowei Li, “Real-Time meets Approximate Computing: An Elastic Deep Learning Accelerator Design with Adaptive Trade-off between QoS and QoR,” in IEEE/ACM Proceedings of Design, Automation Conference, 2017.(CCF-A)

  • [DAC17] Shiqi Lian, Ying Wang , Yinhe Han, Xiaowei Li, “IKAcc: Accelerating Inverse Kinematics for Manipulators,” in IEEE/ACM Proceedings of Design, Automation Conference, 2017.(CCF-A)

  • [VTS17] Yun Cheng, Huawei Li, Ying Wang , Xiaowei Li, Yingke Gao, Bo liu, “Flip-flop Clustering based Trace Signal Selection for Post-Silicon Debug,” in IEEE VLSI Test Symposium 2017.(CCF-B)

  • [CF17] Dawen Xu, Liao Yi, Ying Wang ,Huawei Li, Xiaowei Li, “Selective off-loading to Memory: Task Partitioning and Mapping for PIM-enabled Heterogeneous Systems,” in ACM International Conference on Computing Frontiers 2017 (short paper).(CCF-C)

  • [ASPDAC17] Cheng Wang, Ying Wang (通讯), Yinhe Han, Lili Song, Zhenyu Quan, Jiajun Li, Xiaowei Li“CNN-based object detection solutions for embedded heterogeneous multi-core SoCs,” in IEEE/ACM Proceedings of ASPDAC 2017. (Invited ) (CCF-C)

  • [ASPDAC17] Yibin Tang, Ying Wang (通讯), Huawei Li, Xiaowei Li, “ApproxPIM: Exploiting Realistic 3Dstacked DRAM for Energy-Efficient Processing Inmemory,” in IEEE/ACM Proceedings of ASPDAC 2017.(CCF-C)

  • [ASPDAC17] Shiqi Lian, Ying Wang, Yinhe Han, Xiaowei Li, “BoDNoC: Providing Bandwidth-onDemand Interconnection for Multi-Granularity Memory Systems,” in IEEE/ACM Proceedings of ASPDAC 2017.(CCF-C)

past years

  • [ICCAD16] Ying Wang, Huawei Li, Xiaowei Li, “Rearchitecting the on-chip Memory subsystem of machine learning accelerator for embedded devices,” in IEEE/ACM International Conference On Computer Aided Design, 2016.(CCF-B)
  • [DAC16] Ying Wang, Jie Xu, Yinhe Han, Huawei Li, Xiaowei Li, “DeepBurning: Automatic Generation of FPGA-based Learning Accelerators for the Neural Network Family,” in IEEE/ACM Proceedings of Design, Automation Conference, 2016.(CCF-A)
  • [DAC16] Ying Wang, Huawei Li, Yinhe Han, Xiaowei Li, “DISCO: A Low Overhead In-Network Data Compressor for Energy-Efficient Chip Multi-Processors,” in IEEE/ACM Proceedings of Design, Automation Conference, 2016.(CCF-A)
  • [DAC16] Lili Song,Ying Wang (通讯), Yinhe Han, Xiaowei Li, “C-Brain:A deep learning accelerator that tames the diversity of CNNs through adaptive data-level parallelization,” in IEEE/ACM Proceedings of Design, Automation Conference, 2016.(CCF-A)
  • [DAC15] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li, “RADAR: A Case for Retention-Aware DRAM Assembly and Repair in Future FGR DRAM Memory,” IEEE/ACM Proceedings of Design, Automation Conference, 2015.(CCF-A)
  • [DAC15] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li, “ProPRAM: Exploiting the Transparent Logic Resources in Non-Volatile Memory for Near Data Processing,” IEEE/ACM Proceedings of Design, Automation Conference, 2015.(CCF-A)
  • [DATE11] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li, “FlexMemory: exploiting and managing abundant off-chip optical bandwidth,” IEEE/ACM Proceedings of Design, Automation and Test in Europe conference, 2011.(CCF-B)
  • [DATE16] Ying Wang, Huawei Li, Xiaowei Li, “Going Dark or Dim: Frequency Scheduling For Resilient Chip Multi-Processors Operating at Near Threshold Voltage,” in IEEE/ACM Proceedings of Design, Automation and Test in Europe conference, 2016.(CCF-B)
  • [PRDC10] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li, “Address Remapping for Static NUCA in NoC-based Degradable Chip-Multiprocessors,” The 16th IEEE Pacific Rim International Symposium on Dependable Computing, 2010.(CCF-C)
  • [ISCAS15] Ying Wang, Lili Song, Yinhe Han, Yuanqing Cheng, Huawei Li, Xiaowei Li, “A Case of Precision-Tunable STT-RAM Memory Design for Approximate Neural Network,” In IEEE/ACM Proceedings of ISCAS 2015.(CCF-C)
  • [COOLCHIPS14] Ying Wang, Yinhe Han, Huawei Li, “A Low Power DRAM Refresh Control scheme for 3D integrated memory,” in IEEE COOLChips XVII, 2014.
  • [DAC14] Ying Wang, Yinhe Han, Huawei Li, “Trial-N-Error: Application-aware DRAM Refresh Control for HMC,” in Work-in-Progress Session of IEEE/ACM Design Automation Conference, 2014.
  • [ICCAD14] Yinhe Han, Ying Wang (通讯), Lei Zhang, Huawei Li, Xiaowei Li, “Data-aware DRAM Refresh Control to Squeeze the Margin of Retention Time in 3D Memory,” in IEEE/ACM Proceedings of ICCAD 2014.(CCF-B)
  • [ISCAS12] Yinhe Han, Ying Wang, Huawei Li, Xiaowei Li, “Enabling Near-Threshold Voltage(NTV) Operation in Multi-VDD Cache for Power Reduction,” IEEE International Symposium on Circuits and Systems 2013.(CCF-C)
  • [ISVLSI15] Yun Cheng, Ying Wang, Huawei Li, Xiaowei Li, “A Similarity Based Circuit Partitioning and Trimming Method to Defend against Hardware Trojans,” IEEE 2015 IEEE Computer Society Annual Symposium on VLSI.(CCF-C)
  • [ATS15] Guopei Liu, Ying Wang (通讯), Huawei Li, Xiaowei Li, “A Lightweight Timing Channel Protection for Shared Memory Controllers,” IEEE Asian Test Symposium, 2015.(CCF-C)
  • [DAC12] Jianbo Dong, Lei Zhang, Yinhe Han, Ying Wang, Xiaowei Li, “Wear rate leveling: lifetime enhancement of PRAM with endurance variation,” in IEEE/ACM Proceedings of the 48rd Design Automation Conference, 2011.(CCF-A)
  • [DATE15] Jiachao Deng, Yuntan Fang, Zidong Du, Ying Wang, Huawei Li, Olivier Temam, Paolo Ienne, David Novo, Xiaowei Li, Yunji Chen and Chengyong Wu, “Retraining-Based Timing Error Mitigation for Hardware Neural Networks,” IEEE/ACM Proceedings of Design, Automation and Test in Europe conference, 2015.(CCF-B)
  • [ATS15] Jun Zhou, Tiancheng Wang, Huawei Li, Ying Wang, Xiaowei Li, “TWiN A Turn-Guided Reliable Routing Scheme for Wireless 3D NoCs,” IEEE Asian Test Symposium, 2015.(CCF-C)
  • [COOLCHIPS15] Jun Zhou, Tiancheng Wang, Huawei Li, Ying Wang, Xiaowei Li, “TURO: A Lightweight TUrn-Guided ROuting Scheme for 3D NoCs,” in IEEE COOLChips XVIII, 2015.
  • [ASPDAC15] Hang Lu, Guihai Yan, Yinhe Han, Ying Wang, Xiaowei Li, “ShuttleNoC: Boosting On-Chip Communication Efficiency by Enabling Localized Power Adaptation,” in IEEE/ACM Proceedings of ASPDAC 2015.(CCF-C)
  • [NANOARCH14] Bi Wu, Yuanqing Cheng, Ying Wang, Aida Todri-Sanial, Guangyu Sun, Lionel Torres, Weisheng Zhao, “An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM,” IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH); 2015.
  • [DAC16] Bosheng Liu, Ying Wang, Yinhe Han, Xiaowei Li, “Approximate C-Brain:Eliminating the Computation Redundancy in Energy-efficient Approximate Deep Learning Accelerator,” in IEEE/ACM Proceedings of Design, Automation Conference, Work-in-Progress Session, 2016.
  • [DAC15] Bi Wu, Yuanqing Cheng, Ying Wang, Aida Todri-Sanial, Guangyu Sun, Lionel Torres, Weisheng Zhao, “Write back energy and throughput optimizations for STT-RAM based cache using data pattern characterization,” in Work-in-Progress Session of IEEE/ACM Design Automation Conference, 2015.
  • [DSN11] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li, “Elastic CGRA: Circumventing Hard-faults Through Instruction Migration, “in IEEE/ACM DSN, short paper, 2011.