Journal and Book chapters

Book Chapters

  • Ying Wang, Xuyi Cai, Xiandong Zhao, "Energy-Efficient Neural Network Scheduling," Invited Book Chapter in Low Power Computer Vision-Improve the Efficiency of Artificial Intelligence, by Chapman and Hall/CRC, published in Feb.23 2022.
  • 李晓维,路航,李华伟,王颖,鄢贵海, "多核处理器设计优化:低功耗、高可靠、易测试", 科学出版社.

2024

  • [TCAD] Lian Liu, Ying Wang (通讯), Weiwei Chen, Xiandong Zhao, Huawei Li, Xiaowei Li, Yinhe Han, "An Automatic Neural Network Architecture-and-Quantization Joint Optimization Framework for Efficient Model Inference," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2024.(CCF-A )

2023

  • [TCAD] Husheng Han, Xing Hu, Yifan Hao, Kaidi Xu, Pucheng Dang, Ying Wang, Yongwei Zhao, Zidong Du, Qi Guo, Yangzhi Wang, Xishan Zhang, Tianshi Chen, "Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023.(CCF-A )

  • [TCAD] Weiwei Chen, Ying Wang (通讯), Ying Xu, Chengsi Gao, Yinhe Han and Lei Zhang, "Amphis: Managing Reconfigurable Processor Architectures with Generative Adversarial Learning," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022.(CCF-A )

  • [TCAD] Haitong Huang and Xinghua Xue and Cheng Liu and Ying Wang and Tao Luo and Long Cheng and Huawei Li and Xiaowei Li, "Statistical Modeling of Soft Error Influence on Neural Networks," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023.(CCF-A )

  • [TETC] Wu, Bi and Zhu, Haonan and Reis, Dayane and Wang, Zhaohao and Wang, Ying and Chen, Ke and Liu, Weiqiang and Lombardi, Fabrizio and Hu, Xiaobo Sharon, "An Energy-Efficient Computing-in-Memory (CiM) Scheme Using Field-Free Spin-Orbit Torque (SOT) Magnetic RAMs," in IEEE Transactions on Emerging Topics in Computing, 2023.

  • [RA-L]Y. He, L. Zhang, C. Liu, L. Zhang and Ying Wang (通讯), "S 2 Loop: A Lightweight Spectral-Spatio Loop Closure Detector for Resource-Constrained Platforms," in IEEE Robotics and Automation Letters, vol. 8, no. 3, pp. 1826-1833, March 2023

  • [TCAD] S. Qu, B. Li, S. Zhao, L. Zhang and Ying Wang (通讯), "A Coordinated Model Pruning and Mapping Framework for RRAM-based DNN Accelerators," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023.(CCF-A )

2022

  • [TCAD] Hao Lv, Bing Li, Ying Wang (通讯), Lei Zhang, "Variation Enhanced Attacks Against RRAM-based Neuromorphic Computing System," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022.(CCF-A )

  • [TC] Wen Li, Ying Wang (通讯), Cheng Liu, Yintao He, Lian Liu, Huawei Li, Xiaowei Li, “On-line Fault Protection for ReRAM-based Neural Networks,” in IEEE Transactions on Computers, 2022. (CCF-A )

  • [TC] Gao Chengsi, Ying Wang (通讯), Han Yinhe, Chen Weiwei, Zhang Lei, “An Efficient and Intelligent Video Processing Architecture for Cloud-edge Video Streaming,” in IEEE Transactions on Computers, 2022. (CCF-A )

  • [TECS] Xuyi Cai, Ying Wang (通讯), Lei Zhang, "Optimus: An Operator Fusion Framework for Deep Neural Networks," ACM Transactions on Embedded Computing Systems, 2021.

  • [TECS] Weiwei Chen, Ying Wang (通讯), Cheng Liu, Lei Zhang, "A framework for Neural Network Architecture and Compile Co-Optimization," ACM Transactions on Embedded Computing Systems, 2021.

  • [TCAD] Xiandong Zhao, Ying Wang (通讯), Cheng Liu, Cong Shi, Kaijie Tu, Lei Zhang, "Network Pruning for Bit-Serial Accelerators," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022.(CCF-A )

  • [IEL] Feng Min; Ying Wang (通讯); Haobo Xu; Junpei Huang; Yujie Wang; Xingqi Zou; Meixuan Lu; Yinhe Han, "Dadu-SV: Accelerate Stereo Vision Processing on NPU," in IEEE Embedded Systems Letters, vol. 14, no. 4, pp. 191-194, Dec. 2022, doi: 10.1109/LES.2022.3162859.

  • [CCF-THPC] Shengwen Liang, Ying Wang, Huawei Li, Xiaowei Li, “Cognitive SSD+: a deep learning engine for energy-efficient unstructured data retrieval,” CCF Transactions on High Performance Computing, 2022.

2021

  • [TCAD] Cheng Liu, Cheng Chu, Dawen Xu, Ying Wang, Qianlong Wang, Huawei Li, Xiaowei Li, Kwang-Ting Cheng, "HyCA: A Hybrid Computing Architecture for Fault Tolerant Deep Learning,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021.(CCF-A )

  • [TCAS-I] Feng Min, Haobo Xu(通讯),Ying Wang (通讯), Yujie Wang, Jiajun Li, Xingqi Zou, Bei Li, Yinhe Han, "Dadu-Eye: A 5.3 TOPS/W, 30 fps/1080p High Accuracy Stereo Vision Accelerator," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 10, pp. 4207-4220, Oct. 2021.

  • [TCAS-II] Zhen He, Cong Shi, Tengxiao Wang, Ying Wang, Min Tian, Xichuan Zhou, Ping Li, Liyuan Liu, Nanjian Wu, Gang Luo, “A Low-cost FPGA Implementation of Spiking Extreme Learning Machine With On-chip Reward-Modulated STDP Learning,” in IEEE Transactions on Circuits and Systems--II: Express Briefs, 2021.

  • [TC] Xuyi Cai, Ying Wang (通讯), Chengsi Gao, Lei Zhang, “Olympus: Reaching Memory-Optimality on DNN Processors,” in IEEE Transactions on Computers, 2021. (CCF-A )

  • [TCAD] Yongchen Wang, Ying Wang (通讯), Huawei Li, Xiaowei Li, "An Efficient Deep Learning Accelerator Architecture for Compressed Video Analysis,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021.(CCF-A )

  • [TCAD] Ying Wang, Yintao He, Long Cheng, Huawei Li, Xiaowei Li, "A Fast Precision Tuning Solution for Always-On DNN Accelerators,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021.(CCF-A )

  • [TCAD] Yintao He, Ying Wang (通讯), Huawei Li, Xiaowei Li, "Saving Energy of RRAM-based Neural Acceleratorthrough State-Aware Computing,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021.(CCF-A )

  • [TC] Kaiwei Zou, Ying Wang (通讯), Long Cheng, Huawei Li, Xiaowei Li, “CAP: Communication-aware Automated Parallelization for Deep Learning Inference on CMP Architectures,” in IEEE Transactions on Computers, 2021. (CCF-A )

  • [TVLSI] Dawen Xu, Meng He, Cheng Liu, Ying Wang, Long Cheng, Huawei Li, Xiaowei Li, Kwang-Ting Cheng, "R2F: A Remote Retraining Framework for AIoT Processors with Computing Errors", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021.(CCF-B )

  • [TPDS] Long Cheng, Ying Wang, Qingzhi Liu, Dick H.J.Epema, Cheng Liu, Ying Mao, John Murphy, "Network-Aware Locality Scheduling for Distributed Data Operators in Data Centers," in IEEE Transactions on Parallel and Distributed Systems, doi: 10.1109/TPDS.2021.3053241, 2021.(CCF-A )

  • [TC] Shengwen Liang, Ying Wang (通讯), Cheng Liu, Dawen Xu, Huawei Li, Xiaowei Li,"EnGN: An Energy-Efficient Accelerator for Large Graph Neural Networks,” in IEEE Transactions on Computers, 2021. (CCF-A )

  • [TCAD] Bing Li, Songyun Qu, Ying Wang (通讯), "An Automated Quantization Framework for High-utilization RRAM-based PIM,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, doi: 10.1109/TCAD.2021.3061521, 2021.(CCF-A )

  • [TITS] M. Liu, L. Cheng, Y. Gu, Y. Wang, Q. Liu and N. E. O'Connor, "MPC-CSAS: Multi-Party Computation for Real-Time Privacy-Preserving Speed Advisory Systems," in IEEE Transactions on Intelligent Transportation Systems, doi: 10.1109/TITS.2021.3052840. (CCF-A )

  • [TVLSI] Dawen Xu, Ziyang Zhu, Cheng Liu, Ying Wang, Shuang Zhao, Lei Zhang, Huaguo Liang, Huawei Li, Kwang-Ting Cheng, "Reliability Evaluation and Analysis of FPGA-based Neural Network Acceleration System", IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021.(CCF-B )

2020

  • [TC] Dawen Xu, Cheng Liu, Ying Wang (通讯), Kaijie Tu, Huawei Li, Bingsheng He, Lei Zhang, "Accelerating Generative Neural Networks on Unmodified Deep Learning Processors-A Software Approach,” in IEEE Transactions on Computers, 2020. (CCF-A )
  • [TCAD] Ying Wang, Yongchen Wang, Long Cheng, Cong Shi, Huawei Li, Xiaowei Li, "An Edge 3D CNN Accelerator for Low Power Activity Recognition,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020.(CCF-A )
  • [TCAS-II] Bi Wu, Pengcheng Dai, Zhaohao Wang, Chao Wang, Ying Wang, Jianlei Yang, Yuanqing Cheng, Dijun Liu, Youguang Zhang, Weisheng ZHAO, Xiaobo Sharon Hu, “A NAND-SPIN Based Magnetic ADC,” in IEEE Transactions on Circuits and Systems--II: Express Briefs, 2020.
  • [TCAS-I] Bi Wu, Peicheng Dai, Yuanqing Cheng, Ying Wang, Jianlei Yang,Zhaohao Wang, Dijun Liu,Weisheng ZHAO, Sharon Hu, “Bulkyflip: A NAND-SPIN based Last-Level Cache with Bandwidth-oriented Write Management Policy,” in IEEE Transactions on circuit and system-I, 2020.
  • [TCAS-I] Bi Wu, Chao Wang, Zhaohao Wang, Ying Wang, Deming Zhang, Dijun Liu, Youguang Zhang, Xiaobo Sharon Hu, "Field-Free 3T2SOT MRAM for Non-Volatile Cache Memories," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 67, no. 12, pp. 4660-4669. 2020.
  • [Sensors] He, W.; Huang, J.; Wang, T.; Lin, Y.; He, J.; Zhou, X.; Li, P.; Wang, Y.; Wu, N.; Shi, C. A High-Speed Low-Cost VLSI System Capable of On-Chip Online Learning for Dynamic Vision Sensor Data Classification. Sensors 2020, 20, 4715.
  • [Neurocomputing] Tengxiao Wang, Cong Shi, Xichuan Zhou, Yingcheng Lin, Junxian He, Ping Gan, Ping Li, Ying Wang, Liyuan Liu, Nanjian Wu, Gang Luo, CompSNN: A lightweight spiking neural network based on spatiotemporally compressive spike features, Neurocomputing, 2020.

2019

  • [TCAD] Ying Wang, Huawei Li, Xiaowei Li,"A QoS-QoR Aware CNN Accelerator Design Approach,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019.(CCF-A )
  • [TVLSI] Bi Wu, Beibei Zhang, Yuanqing Cheng, Youguang Zhang, Zhaohao Wang, Ying Wang, Weisheng Zhao, “Chameleon: a Thermally Aware Reliable Design for STT-MRAM LLCs,” in IEEE Transactions on Very Large Scaled Integration Systems (TVLSI), 2019.(CCF-B)
  • [CAL19] Sheng Xu, Xiaoming Li, Ying Wang, Yinhe Han, Xuehai Qian, Xiaowei Li, “PIMSim: A Flexible and Detailed Processing-in-Memory Simulator,” in IEEE Computer Architecture Letters, 2019.(CCF-B)
  • [JPDC] Long Cheng, Ying Wang, Qingzhi Liu, Dick H. J. Epema, Cheng Liu, Ying Mao, John Murphy, "Load-balancing Distributed Outer Joins through Operator Decomposition," in Journal of Parallel and Distributed Computing(JPDC), 2019.(CCF-A)
  • [IEICE] Shichang Zhang, Ying Wang, Yujie Wang, Xiaoming Chen, Yinhe Han, Xiaowei Li, “Thread: Towards fine-grained precision reconfiguration in variable-precision neural network accelerator,” IEICE Electronics Express, 2019.
  • [JETC] Yibin Tang, Ying Wang, Huawei Li, Xiaowei Li, “MV-Net: Towards Real-time Deep Learning on Mobile GPGPU Systems,” ACM Journal onEmerging Technologies in Computing , 2019.(CCF-C)
  • [TPDS] Long Cheng, Ying Wang (通讯), Qingzhi Liu, Dick H. J. Epema, Cheng Liu, Ying Mao, John Murphy, "Network-Aware Locality Scheduling for Distributed Data Operators in Data Centers," in IEEE Transactions on Parallel and Distributed System (TPDS), 2019.(CCF-A)
  • [TVLSI] Bi Wu, Beibei Zang, Yuanqing Cheng, Ying Wang, Weisheng ZHAO, “An Adaptive Thermal-Aware ECC Scheme for Reliable STT-MRAM LLC Design” in IEEE Transactions on Very Large Scale Integration (TVLSI) Systems, 2019.(CCF-B)

2018

  • [TCAD] Ying Wang, Huawei Li, Xiaowei Li, "A Case of On-chip memory Sub-system Design for Low-Power Machine Learning Accelerators,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018.(CCF-A)
  • [TCAD] Ying Wang, Huawei Li, Xiaowei Li, "A Low Overhead In-Network Data Compressor for the Memory Hierarchicy of Chip - Multi-Processors,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). (CCF-A)
  • [TCAD] Yun Cheng, Huawei Li, Ying Wang, Xiaowei Li, Yingke Gao, Bo liu, “Cluster Restoration based Trace Signal Selection for Post-Silicon Debug,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2018.(CCF-A)
  • [ACCESS] Dandan Li, Shuzhen Yao, Ying Wang, "Processor Design Space Exploration via Statistical Sampling and Semi-Supervised Ensemble Learning," IEEE Acess 2018.
  • [JCST] Shiqi Lian, Ying Wang (通讯), Yinhe Han,"DimRouter: A Multi-Mode Router Architecture for Higher Energy-Proportionality of On-Chip Networks,” J. Comput. Sci. Technol. 33(5): 984-997 (2018), (CCF-B)
  • [ACCESS] Cong Shi, Jiajun Li, Ying Wang (通讯), Gang Luo, "Exploiting Lightweight Statistical Learning for Event-Based Vision Processing," IEEE Access 2018.
  • 李丹丹, 姚淑珍, 王颖, 王森章, 谭火彬, 基于半监督集成学习的多核设计空间探索, 北京航空航天大学学报, 2018 Vol.44 (4): 792-801

past years

  • [TCAD] Ying Wang, Cheng Wang, Yinhe Han, Huawei Li, Xiaowei Li, "Retention-Aware DRAM Assembly and Repair for Future FGR Memories,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD),2017. (CCF-A)
  • [TVLSI] Lili Song, Ying Wang (通讯), Yinhe Han, Huawei Li, Yuanqing Cheng, Xiaowei Li, “Approximate STT-RAM Buffer Design for General Purpose Neural Network Accelerator,” in IEEE Transactions on Very Large Scaled Integration Systems (TVLSI). 2017.(CCF-B)
  • [TCAD] Yun Cheng, Huawei Li, Ying Wang, Xiaowei Li, “On Trace Buffer Reuse based Trigger Generation in Post Silicon Debug,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017.(CCF-A)
  • [TCAD] Bi Wu, Peicheng Dai, Yuanqing Cheng, Ying Wang, Jianlei Yang,Zhaohao Wang, Dijun Liu,Weisheng ZHAO, “A Novel High Performance and Energy Efficient NUCA Architecture for STT-MRAM LLCs with Thermal Consideration” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2017.(CCF-A)
  • [JETC] Bing Li, Yu Hu, Ying Wang, Jing Ye, Xiaowei Li, “Power-Utility-Driven Write Management for MLC PCM,” ACM Journal onEmerging Technologies in Computing , 2016.(CCF-C)
  • [TVLSI] Ying Wang, Jiachao Deng, Yuntan Fang, Huawei Li, Xiaowei Li, “Resilience-Aware Frequency Tuning for Neural-Network based Approximate Computing Chips,” IEEE Transactions on Very Large Scaled Integration Systems (TVLSI), 2015.(CCF-B)
  • [TVLSI] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li, “Economizing TSV resources in 3D Network-on-Chip design,” in IEEE Transactions on Very Large Scaled Integration Systems (TVLSI). 2015.(CCF-B)
  • [TVLSI] Ying Wang, Yinhe Han, Yuanqing Cheng, Huawei Li, Xiaowei Li, “PSI Conscious Write Scheduling: Architectural Support for Reliable Power Delivery in 3D Die-Stacked PCM,” in IEEE Transactions on Very Large Scaled Integration Systems (TVLSI).(CCF-B)
  • [TVLSI] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li, “Data Remapping for Static NUCA in Degradable Tiled Chip Multiprocessors,” IEEE Transactions on Very Large Scaled Integration Systems (TVLSI), 2015.(CCF-B)
  • [TVLSI] Ying Wang, Yinhe Han, Huawei Li, Xiaowei Li, “VANUCA: Enabling Near-Threshold Voltage Operation in Large-Capacity Cache,” IEEE Transactions on Very Large Scaled Integration Systems (TVLSI), 2015.(CCF-B)
  • [TVLSI] Yinhe Han, Jianbo Dong, Kaiheng Weng, Ying Wang (通讯), Xiaowei Li, “Enhanced Wear-Rate Leveling for PRAM Lifetime Improvement Considering Process Variation,” in IEEE Transactions on Very Large Scale Integration (TVLSI) Systems, 2015.(CCF-B)
  • [IEICE] Bosheng Liu, Ying Wang, Zhiqiang You, Yinhe Han, Xiaowei Li, “A signal degradation reduction method for memristor ratioed logic (MRL) gates,” IEICE Electronics Express, 2015.
  • [CCF-THPC] Yibin Tang, Ying Wang, Huawei Li, Xiaowei Li, “To cloud or not to cloud: an on‑line scheduler for dynamic privacy‑protection of deep learning workload on edge devices,” CCF Transactions on High Performance Computing, (Early Access) DOI: 10.1007/s42514-020-00052-7.
  • [TVLSI] Hang Lu, Binzhang Fu, Ying Wang, Yinhe Han, Guihai Yan, Xiaowei Li, “RISO: Enforce Non-interfered Performance with Relaxed Network-on-Chip Isolation in Manycore Cloud Processors,” In IEEE Transactions on Very Large Scaled Integration Systems (TVLSI), 2015.(CCF-B)
  • [JCST] Ying Wang, Lei Zhang, Yinhe Han, Huawei Li. "Reinventing Memory System Design for Many-Accelerator Architecture," Journal of Computer Science and Technology (JCST), Mar. 2014.(CCF-B)
  • 张磊,王颖, 陈云霁, 徐志伟, 张立新,可重塑处理器:用户可定义的加速器中处理器架构,网络新媒体技术 (期刊),2013.